Project

General

Profile

Download (2.25 KB) Statistics
| Branch: | Tag: | Revision:
1
---------------------------------------------------------------------------------------------------
2
-- Filename    : mt_filter.vhd
3
-- Project     : maintech filter toolbox
4
-- Purpose     : maintech filter toolbox package
5
--
6
-- Description : declaration of common types, functions and attributes
7
--               used throughout the filter toolbox
8
---------------------------------------------------------------------------------------------------
9

    
10
-----------------------------------------------------------------------------------
11
-- Copyright (C) 2012 maintech GmbH, Otto-Hahn-Str. 15, 97204 Hoechberg, Germany --
12
-- written by Matthias Kleffel                                                   --
13
--                                                                               --
14
-- This program is free software; you can redistribute it and/or modify          --
15
-- it under the terms of the GNU General Public License as published by          --
16
-- the Free Software Foundation as version 3 of the License, or                  --
17
--                                                                               --
18
-- This program is distributed in the hope that it will be useful,               --
19
-- but WITHOUT ANY WARRANTY; without even the implied warranty of                --
20
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the                  --
21
-- GNU General Public License V3 for more details.                               --
22
--                                                                               --
23
-- You should have received a copy of the GNU General Public License             --
24
-- along with this program. If not, see <http://www.gnu.org/licenses/>.          --
25
-----------------------------------------------------------------------------------
26

    
27
library ieee;
28
	use ieee.std_logic_1164.all;
29
	use ieee.numeric_std.all;
30
	
31
package mt_filter is
32

    
33
	--
34
	-- FIR filter types
35
	--
36
	subtype fir_dataword18   is signed(17 downto 0); 
37
	type    fir_databus18    is array (natural range <>) of fir_dataword18; 
38
	subtype fir_coefficient  is integer range -2**17 to 2**17-1; 	
39
	type    fir_coefficients is array (natural range <>) of fir_coefficient; 	
40
	
41
end mt_filter;
42

    
43
package body mt_filter is
44
	-- nothing so far
45
end mt_filter;
46

    
(3-3/4)
Add picture from clipboard (Maximum size: 48.8 MB)