Project

General

Profile

Motorola Horizon macroMotorola Horizon macroCTU Console » History » Version 3

laforge, 02/19/2016 10:47 PM

1 2 laforge
[[PageOutline]]
2 1 laforge
= CTU Console =
3
4
The CTU each have a DB-9 serial port with a RS-232 UART on them.
5
6
There is a text based command line interface available.  However, the command set seems pretty limited
7
8
== Boot up ==
9
During boot, there are no log messages.  Only after boot has completed, the following information
10
is printed:
11
{{{
12
                       EQCP TTY Operational Code
13
                Motorola Confidential Proprietary 1997-8
14
             Developed by Charlie Green and Lonnie Donovan
15
16
17
                    Version/Revision : 050B.0400
18
                    Release Date     : Jan 13 2005
19
20
                     Last Reset was a Hard Reset
21
22
23
EQCP >
24
}}}
25
26 2 laforge
== Level 1 Commands (BASIC) ==
27 1 laforge
=== help ===
28
{{{
29
EQCP > help
30
BASIC LEVEL ACCESS COMMANDS
31
===========================
32
ALARMS         CALCHK         CHKSUM         HELP           ?              
33
HWVER          LOGOUT         PS STAT        SN QRY         STATE          
34
SWVER          
35
}}}
36
37
=== alarms ===
38
{{{
39
EQCP > alarms
40
EQCP > 
41
42
        Incorrect State For Command
43
44
}}}
45
46
=== calchk ===
47
{{{
48
EQCP > calchk
49
EQCP > 
50
51
        RX Branch 1:  CAL  CHECKSUM   RX Branch 2:  CAL  CHECKSUM
52
         AIC          YES   fff6        AIC           YES   fffe
53
         AGC          YES   d25d        AGC           YES   ce69
54
         BAY          YES   720f        BAY           YES   6e43
55
         BBG          YES   0027 (This is for both branches.) 
56
         DCO          YES   8811        DCO           YES   5dd7
57
         FREQ         YES   ff59        FREQ          YES   fd78
58
         IFG          YES   0006        IFG           YES   0006
59
         RSSI         YES   a1e8        RSSI          YES   99f2
60
        TX            CAL  CHECKSUM 
61
         DET          YES   347e
62
         PWR          YES   05b6
63
         TX           YES   0003
64
         VVA          YES   8d83
65
}}}
66
67
=== chksum ===
68
{{{
69
EQCP > chksum
70
EQCP > 
71
72
        The Checksum Value is                     : $c0ffee
73
}}}
74
75
=== hwver ===
76
{{{
77
EQCP > hwver
78
EQCP > 
79
80
        The Radio Type is                         : Thor
81
        The RF Hardware is configured for         : DCS1800_HP
82
        The Hardware Version is                   : $5
83
}}}
84
85
=== swver ===
86
{{{
87
EQCP > swver
88
EQCP > 
89
90
        The Software Version is            : $50b
91
        The Software Revision is           : $400
92
        The Feature Capatibility Bitmap is : $ 0  0  0 73
93
}}}
94
95
=== ps stat ===
96
{{{
97
EQCP > ps stat
98
EQCP > 
99
100
Alarmed at the value outside -6V +/-5%
101
Alarmed at the value outside +6V +/-5%
102
Alarmed at the value under 20V
103
Alarmed at the value over 30V
104
Alarmed at the value under 12V -20%
105
Alarmed at the value over +3.3V +10%
106
Alarmed at the value outside +5V +/-5%
107
Alarmed at the value outside -5V +/-5%
108
}}}
109
110
=== sn qry ===
111
{{{
112
EQCP > sn qry
113
EQCP > 
114
115
Radio Serial Number :  X77F0P1APT 
116
Radio Kit Number    :  SWRG5197DA 
117
Radio Description   :  THOR 1800 XCVR 
118
Board Serial Number :  X77F0P18A2 
119
Board Kit Number    :  SWRG5198BBF 
120
}}}
121
122
=== state ===
123
{{{
124
EQCP > state
125
EQCP > 
126
127
        INVALID processor selected
128 2 laforge
}}}
129
130
== Level 2 Commands (NORMAL) ==
131
In order to enter Level2, you have to type in the ".gsmfw" password (the characters will be blanked over by *)
132
133
Help will now show the following additional block of commands:
134
{{{
135
NORMAL LEVEL ACCESS COMMANDS
136
============================
137
AUTO ALARM     BAYDONE        BBG            CAL BAY        CONTINUOUS     
138
CORREL         CSPWR          DMP TRACE      E2TEST         EQTS           
139
FILTER         FLASHRD        FR             IDATA          LEVAGC         
140
LOG            MR             MSG QUERY      QDATA          RTC            
141
SNDCMB         TACORR         TASK           TEST           TX 27V         
142
TX DET RD      TX REV         TX TEMP        UPCTL          VALDBR         
143
}}}
144
145
=== dmp trace ===
146
{{{
147
DMP TRACE (ALARMS)
148
Dumps the contents of the SPOX trace buffer or the Alarms History Log.
149
ALARMS - Alarms History Log
150
}}}
151
152
Example:
153
{{{
154
dmp trace^M^M
155
156
157
Start of Creating environments...
158
Creating streams...sci input...85f7d1 sci output...85f58f stream creation finished^M
159
Creating queues...queue creation finished^M
160
Creating semaphores...rt-act-sem...85f357
161
rcp-test-sem...rcp-tty-sio-sem...85f343 eq-rx-msg-sem...semaphore creation finished^M
162
Creating mailboxes.....hardware...85f323 state...85f2f9 equ response...85f2cf eqcp tty...85f291 ^M
163
msg-router...85f267 cccp-msg-if...85f23d ^M
164
code download...85f213 equ-msg-if...85f1e9 ^M
165
configuration...85f1ab calibration...85f181 ^M
166
eqcp alarms agent...85f157 RT Test ...85f101^M
167
cccp incoming...85f0d7 ^M
168
combiner...85efc1^M
169
mailbox creation finished^M
170
Creating tasks...hardware...state...eqcp tty...msg-router...cccp-msg-if...^M
171
rt control...configuration...calibration...^M
172
EQCP alarms agent...EQCP background task...combiner...EQCP watchdog timer task...task creation finished^M
173
Real Time Operating System environment completed^M
174
175
End of Creating environments...
176
FLASH mfc_id is: 0^M
177
FLASH dev_id is: 0^M
178
FLASH is at: 880000^M
179
Life_timer FLAG = 1234^M
180
Loading life_timer.^M
181
Flash AGC B1 cal chksum pass.^M
182
Flash AGC B2 cal chksum pass.^M
183
CAL & TEST TSK Param 0 Cal & Test Task Running
184
^M
185
Sending Status message to RSS...
186
State Task Running^M
187
State Task Running^M
188
State Task Running^M
189
State Task Running^M
190
State Task Running^M
191
State Task Running^M
192
State Task Running^M
193
State Task Running^M
194
State Task Running^M
195
State Task Running^M
196
State Task Running^M
197
CONFIG TSK Param 0 TTY MODE IS NORMAL
198
}}}
199
200
=== eqts ===
201
{{{
202
EQTS <T,D>
203
Sets the timeslot for commands using the test point system.
204
T   - Timeslot number (0-7)
205
D   - Disable test point system
206
}}}
207
208
=== e2test ===
209
{{{
210
E2TEST^M
211
Tests the integrity tests done on RAM.
212
}}}
213
214
=== bbg ===
215
{{{
216
BBG( <I,Q> <B> <V,NRM>)
217
Sets the baseband gain to a 2.5V peak to peak value.
218
No Parameter - Returns the current setting
219
I - In-phase component
220
Q - Quadrature component
221
B - Branch (1, 2, or Both)
222
V - Gain value (0-FF)
223
NRM - Return control to the Real Time Task
224
}}}
225
226
=== continuous ===
227
{{{
228
CONTINUOUS(_XX,NRM)
229
Adjusts the length of the pause for all
230
commands that support the continuous mode.
231
XX  - The number of timeslots to pause.
232
NRM - Set pause to original value.
233
}}}
234
235
=== correl ===
236
{{{
237
CORREL (C)
238
Displays the real, imaginary, and real^2+imaginary^2 results of
239
the correlation with the expected training sequence.
240
No Parameter - Return the results once.
241
C   - Continuous reporting
242
}}}
243
244
=== cspwr ===
245
{{{
246
CSPWR
247
Sets the Tx cell site's desired maximum output power level.
248
After CSPWR has started, only the following keys are valid.
249
[U] - Increases the power level by 0.2dB
250
[D] - Decreases the power level by 0.2dB
251
[ESC, CTRL-C, CTRL-Y] - Exits the routine and stores the offset
252
}}}
253
254
=== filter ===
255
{{{
256
FILTER (<X,ALL> <MC,ON,OFF>)
257
Allows the user to filter messages between the EQCP and CCCP in both the uplink
258
and downlink directions.
259
No Parameter - displays a list of the filters present.
260
X   - Filter number (0-7)
261
ALL - Used with ON/OFF for effect on all filters
262
MC  - EQCP <-> CCCP Message Code
263
ON  - Turn the specified filter on
264
OFF - Turn the specified filter off
265
}}}
266
267
=== flashrd ===
268
{{{
269
FLASHRD (or FR) <AAAAAA, Cal_variable> (<BBBBBB>)
270
Displays the value at the given memory location(s).
271
AAAAAA       - Memory address
272
BBBBBB       - Optional ending address for a block read
273
Cal_variable - Calibration variable:
274
                AGC  - Rx Automatic Gain Control Cal.
275
                AIC  - Rx Automatic Intermodulation Control Cal.
276
                BAY  - Rx Bay Level Cal.
277
                BBG  - Rx Baseband Gain
278
                DCO  - Rx DC Offset Cal.
279
                DET  - Tx Detector Cal.
280
                FREQ - Rx Frequency Offset Cal.
281
                IFG  - Rx Intermediate Frequency Gain
282
                PWR  - Tx Full Power Cal.
283
                RSSI - Rx Received Signal Strength Indicator Cal.
284
                TX   - Tx Cell Site Power Cal.
285
                VVA  - Tx Voltage Variable Attenuator Cal.
286
}}}
287
288
=== idata ===
289
{{{
290
IDATA (C)
291
Displays the central portion of the I-channel data.
292
No Parameter - Return the results once.
293
C   - Continuous reporting
294
}}}
295
296
=== levagc ===
297
{{{
298
LEVAGC (C)
299
Displays the RSSI, half scale error, AGC pad setting, channel type,
300
and subchannel number.
301
No Parameter - Return the results once.
302
C - Continuous reporting
303
}}}
304
305
=== log ===
306
{{{
307
LOG (A,C,P,M)
308
Displays a log of the state specific data that can be verified off-line.
309
THE CODE FOR THIS COMMAND HAS NOT BEEN IMPLEMENTED YET.
310
A - Active Standby
311
C - Call Processing
312
P - Parameter Download
313
M - Maintenance
314
}}}
315
316
=== msg query ===
317
{{{
318
MSG QUERY <Message_Code>
319
Reports all unresponded instances of the messages being queried to 
320
the TTY, along with the average response time.
321
Message_Code - Even value representing the uplink message code
322
}}}
323
324
=== rtc ===
325
{{{
326
RTC (C)
327
Displays the real-time configuration information pertaining to the
328
current timeslot packed into 2 words per frame.
329
No Parameter - Return the results once.
330
C - Continuous reporting
331
}}}
332
333
=== sndcmb ===
334
{{{
335
SNDCMB <XX> (<XX ... XX>)
336
Emulates the messaging to the combiner by sending the hex values of the
337
string to the combiner.
338
XX - Data to send to the combiner
339
}}}
340
341
=== tacorr ===
342
{{{
343
TACORR
344
Activates the equalizer process test point display.
345
}}}
346
347
=== task ===
348
{{{
349
TASK <DEBUG,ERROR,OFF> (<X>)
350
Allows the autonomous display of EQCP task level debug and error information.
351
DEBUG  - Enables reporting of debug information
352
ERROR  - Enables reporting of error information
353
OFF    - Disables reporting of error/debug information
354
X      - EQCP task number:
355
          0 - State Task              5 - Msg Router Task
356
          1 - Hardware Task           6 - EQCP TTY Task
357
          2 - Configuration Task      7 - EQU IF Task
358
          3 - Code Task               8 - CCCP IF Task
359
          4 - RT Control Task         9 - Reserved
360
}}}
361
362
=== test ===
363
{{{
364
TEST
365
Places the EQCP into Test mode.
366
}}}
367
368
== Level 3 Commands (TEST) ==
369
On Level2, enter "test" to enable the test mode commands:
370
{{{
371
TEST LEVEL ACCESS COMMANDS
372
==========================
373
ACTIVATE       AIC            ALIVE          BAUD           BBF            
374
BBH ALARM      BBPOW          CFGQRY         CL ALARM       CTRLREG        
375
DIV            DLCAL          FLASHWR        FM CLR         FM NORM        
376
FM SET         FM TEST        FM_TEST        FW             HALT           
377
IFG            IQAVG          IQDCO          LBD            LBE            
378
LBK            LOAD CAL       MDLTR          MEM STAT       MW             
379
NORMAL         RAMP           REPORT         RESET          RXCONFIG       
380
RXFULL         SAVE CAL       SELF CAL       SEND CCCP      SEND EQCP      
381
SYNTH          THRESHOLD      TS             TS-AGC         TS-AGC-K       
382
TS-AI          TS-AM          TS-ANT         TS-CH          TS-CHAN        
383
TS-DET-PAD     TS-DET-GAIN    TS-DSA         TS-HOP         TS-HSN         
384
TS-MA          TS-MAIO        TS-MOD         TS-TSC         TS-TXPWR       
385
TS-VVA         TS-NRM         TXFULL         TXLOAD         TX TP          
386
TX             VSWR           WRENB          WRPTC          
387
}}}
388
389
=== alive ===
390
{{{
391
EQCP TEST > alive
392
TASK                  STATUS
393
============================
394
CAL & TEST TASK       ALIVE
395
CCCP MSG IF TASK      ALIVE
396
COMBINER TASK         ALIVE
397
CONFIGURATION TASK    ALIVE
398
HARDWARE TASK         ALIVE
399
MSG ROUTER TASK       ALIVE
400
STATE TASK            ALIVE
401
TTY TASK              ALIVE
402
REAL TIME CTRL TASK   ALIVE
403
WATCHDOG TIMER TASK   ALIVE
404
}}}
405
406
=== activate ===
407
{{{
408
ACTIVATE <C>
409
Changes the state of the requested processor from Active Standby to Call
410
Processing.
411
C - Specifies the EQCP.
412
}}}
413
414
=== aic ===
415
{{{
416
AIC( <IN,OUT,NRM>( B))
417
Places the AIC pads in or out.
418
No Parameter - AIC pad status will be displayed.
419
IN  - Inserts the AIC pad(s)
420
OUT - Removes the AIC pad(s)
421
NRM - Returns control of the AIC pads to the real-time task
422
B   - Branch (1 or 2)
423
}}}
424
425
=== cl alarm ===
426
{{{
427
CL ALARM <CAB,CTRL,PATEMP,RX,SITE,TX,TLK,ALL>
428
Clears the current status of alarms specified by the parameter.
429
CAB    - Cabinet alarms
430
CTRL   - Control alarms
431
PATEMP - PA temperature alarm
432
RX     - Receive alarms
433
SITE   - Site alarms
434
TX     - Transmit alarms
435
TLK    - TDM link alarms
436
ALL    - All alarms
437
}}}
438
439
=== div ===
440
{{{
441
DIV (<OFF Branch_Number, ON Branch_Number, NRM)
442
Overrides the diversity configuration.
443
OFF - No Diversity on specified on branch
444
ON - No Diversity on specified on branch
445
Branch_Number - Branch number (1 or 2)
446
}}}
447
448
=== report ===
449
{{{
450
REPORT <R,L,B> T<Times> S<Samples> @<Branch> <Timeslot>
451
Reports to the averaged raw RSSI and linearized RSSI for a particular timeslot
452
according to the parameters specified.
453
R        - Raw RSSI^M 
454
L        - Linearized RSSI^M 
455
Times    - Number of times to report (default=continuous)
456
Samples  - Number of samples to take and average (1-100) (default = 12)
457
Branch   - Branch Number (1,2, B(oth)) (default = 1)
458
Timeslot - Timeslot on which to perform average (default=0)
459
}}}
460
461
=== ts ===
462
{{{
463
TS <ALL,T> <CMD> (<p0 .. pN>)
464
Sets a variable for a given timeslot.  For a more detailed
465
description of a specific command, replace the spaces with
466
dashes [e.g. HELP TS-AGC-K].
467
ALL - All timeslots
468
T   - Timeslot number (0-7)
469
CMD - Command:
470
       AGC   - Automatic Gain Control Level
471
       AGC K - Automatic Gain Control Filter Value
472
       ANT   - Antenna
473
       C2I   - C to I Ratio
474
       CH    - Channel Type
475
       CHAN  - Channel Number
476
       HOP   - Hopping
477
       HSN   - Hopping Sequence Number
478
       MA    - Mobile Allocation
479
       MAIO  - Mobile Allocation Index Offset
480
       MOD   - Modulation Type
481
       RXTSC - Rx Training Sequence
482
       SUB   - Subchannel Number
483
       TSC   - Tx Training Sequence
484
       TXPWR - Transmitter Power
485
p0  - Parameter number 0
486
pN  - Parameter number N
487
Note - There is NO help for the ts nrm command.^M 
488
This command will set all timeslots for the
489
VVA, DSA, DET_GAIN, and DET_PAD to NRM.
490
}}}
491
492
=== ts ch ===
493
{{{
494
TS <ALL,T> CH (<Channel_Type,N>)
495
Overrides the channel type configuration value.
496
ALL          - All timeslots
497
T            - Timeslot number (0-7)
498
Channel_Type - Channel type:
499
                0 - TCH/F
500
                1 - TCH/H
501
                2 - TCH/F9.6
502
                3 - TCH/F4.8
503
                4 - TCH/H4.8
504
                5 - TCH/F2.4
505
                6 - TCH/H2.4
506
                9 - TCH/AFS
507
                10- TCH/AHS
508
                11- RACH
509
                12- HO_RACH
510
                13- SACCH/TF
511
                14- FACCH/F
512
                15- SACCH/TH
513
                16- FACCH/H
514
                17- SDCCH/8
515
                18- SACCH/C8
516
                19- SDCCH/4
517
                20- SACCH/C4
518
                24- PTCCH
519
                26- PDTCH
520
                28- PRACH
521
                29- PIDLE
522
                30- Idle Frame
523
                31-Inactive
524
N       - Change the channel type back to normal.
525
}}}
526
527
=== ts chan ===
528
{{{{
529
TS <ALL,T> CHAN (<X,N>)
530
Programs the tx and rx synthesizers to a channel number for a given timeslot.
531
ALL - All timeslots
532
T   - Timeslot number (0-7)
533
X   - Channel number
534
N   - Disable the TTY timeslot override control
535
}}}
536
537
=== mw ===
538
{{{
539
MW <X,Y,P>:<AAAAAA> <XXXXXX> (<YYYYYY>)
540
 or MW <Cal_variable> <Offset> <ZZ> (<ZZ...ZZ>)
541
Performs a memory write.  For a single write, XXXXXX is written to
542
AAAAAA. For a block write, YYYYYY is written from AAAAAA to XXXXXX.
543
X            - X-space RAM
544
Y            - Y-space RAM
545
P            - P-space RAM
546
AAAAAA       - Memory address
547
XXXXXX       - Single write data, or Block end memory address
548
YYYYYY       - Block data
549
Cal_variable - Calibration variable:
550
                AGC  - Rx Automatic Gain Control Cal.
551
                AIC  - Rx Automatic Intermodulation Control Cal.
552
                BAY  - Rx Bay Level Cal.
553
                BBG  - Rx Baseband Gain
554
                DCO  - Rx DC Offset Cal.
555
                DET  - Tx Detector Cal.
556
                FREQ - Rx Frequency Offset Cal.
557
                IFG  - Rx Intermediate Frequency Gain
558
                PWR  - Tx Full Power Cal.
559
                RSSI - Rx Received Signal Strength Indicator Cal.
560
                TX   - Tx Cell Site Power Cal.
561
                VVA  - Tx Voltage Variable Attenuator Cal.
562
563
564
ZZ           - Calibration data
565
}}}
566 3 laforge
567
=== ts txpwr ===
568
{{{
569
TS <ALL,T> TXPWR (<XX,#YY,N>)
570
Sets the PA level for the given timeslot.
571
ALL - All timeslots
572
T   - Timeslot number (0-7)
573
XX  - PA power level(hex)
574
#YY - PA power level(dec)
575
N   - Place the timeslot under DRI control
576
}}}
577
578
579 2 laforge
=== tx ===
580
{{{
581
TX <ON,OFF,NRM>
582
Forces the TX KEY line to the state defined by the parameter.
583
ON   - Tx Keying line is held high
584
OFF  - Tx Keying line is held low
585
NRM  - Normal control of TX KEY line
586 3 laforge
}}}
587
588
=== txfull ===
589
{{{
590
TXFULL <x1> <x2> <x3> <0> <0> (2)
591
Performs a full update of the TX parameters.
592
x1 - First 8 bits of the 56 bit paramter.
593
x2 - Bits 9-32 of the 56 bit parameter.
594
x3 - Bits 33-56 of the 56 bit paramter.
595
No Parameter - Data bits are sent to the first TX SPI line(TIM).
596
2  - FOR THOR ONLY, Data bits are sent to the second TX SPI line
597
     (the Synthesizer circuit).
598
}}}
599
600
=== vswr ===
601
{{{
602
VSWR <OVERRIDE>
603
Performs RF Loopback and VSWR testing on the radio.
604
OVERRIDE - Tests are performed using override values for TX power, channel,
605
           diversity and antenna
606
}}}
607
608
Example:
609
{{{
610
CHANNEL: 0698   TX POWER: 00
611
-----------------------------------------------------------------
612
                     ANT1              ANT2              ANT3
613
                  BR1     BR2       BR1     BR2       BR1     BR2
614
-----------------------------------------------------------------
615
RCU  RXLEV        -60     -60       -60     -60       -60     -60
616
     RESULT      PASS    PASS      PASS    PASS      PASS    PASS
617
-----------------------------------------------------------------
618
PIL  RXLEV        -55     -60       -55     -58       -56     -58
619
     RESULT      PASS    PASS      PASS    PASS      PASS    PASS
620
-----------------------------------------------------------------
621
VSWR RXLEV        -57     -59       -58     -58       -58     -58
622
     RESULT      FAIL    FAIL      FAIL    FAIL      FAIL    FAIL
623
-----------------------------------------------------------------
624
RETURN LOSS         2       1         3       1         2       1
625
VSWR VALUE      8.724  17.391     5.848  17.391     8.724  17.391
626
-----------------------------------------------------------------
627 1 laforge
}}}
Add picture from clipboard (Maximum size: 48.8 MB)